半导体芯片制造术语及含义

文摘   2024-11-14 09:05   河南  

往期半导体术语表

1.半导体(英文/中文)用 语 说 明

2.半导体名词索引

3.半导体厂常见问与答



3D封装(3D package):在一个封装体内堆叠和连接两个以上芯片。
III-V族半导体材料(III-V semiconductor material):由元素周期表的第III和第V族元素组成的半导体材料。
II-VI族半导体材料(II-VI semiconductor material):由元素周期表的第II和第VI族元素组成的半导体材料。
受主(acceptor):一种半导体材料中的杂质。接受价带电子,从而在价带中留下“空穴”的行为就像正电性的载流子,亦称P型载流子。
空气传播的分子污染(airborne molecular contaminatin):在净化间空气中存在的空气传播的分子污染。
光刻机(对准和曝光)[aligner(align and expose)]:一种工艺设备,用来将晶圆和掩模版或放大掩模版对准,并使光刻胶在紫外线或其他辐射源下曝光。
对准(alignment):参见掩模版或放大掩模版相对于晶圆的定位。
对准标记(alignment mark):在晶圆和掩模版上用来正确对准的目标。
合金(alloy):(1)两种金属的合成物;(2)在半导体工艺中,合金化步骤引起半导体材料和在其上的材料的相互扩散,形成两者间的欧姆接触。
铝(Al):半导体工艺中使用最多的金属。用来形成芯片上的各器件之间的连接。可以通过蒸发或溅射工艺制备。
非晶体(amorphous):原子呈无序排列的材料,如塑料。
放大光刻胶(amplified resist):用增加化学品增强化学反应的光刻胶。
埃(A):长度单位,1埃等于1μm的万分之一(10-4μm),或1000 0 0 0 0 0 A = 1 c m 。
各向异性(anistropic):刻蚀的一种工艺,不会或很少造成钻蚀。
退火(anneal):一种高温工艺过程(通常是最后一步)。用来将晶圆晶格结构中的应力降至最低。
锑(Sb):元素周期表中第V族元素。在硅中是N型掺杂,经常作为掩埋层的掺杂物。
防反射涂层(ARC):在曝光过程中为了减少反射,在晶圆表面增加的一层化学涂层。
砷(As):元素周期表中第V族元素。是硅中的N型掺杂物。
封装(assembly):芯片制造后的一系列工序。将晶圆分割成单个的芯片,并安放和连接到一个封装体上。
常压氧化(atmospheric oxidation):一种在一个大气压下的硅氧化过程。做热氧化的设备与热扩散的设备相同,由4部分组成:提供反应源的机柜、反应室、加热源,以及一个晶圆承载器。
原子力显微镜(AFM):一种描绘晶圆表面形貌的显微镜,其输出是由一个带有弹簧的探针在所测表面移动得到的。
原子层淀积(atomic layer deposition):一次增加(淀积)一层原子层的一种方法。
原子数(atomic number):每种化学元素的固有数字,等于原子中的质子数(或电子数)。
原子微粒(atomic particle):原子的组成部分,包括电子、质子和中子。


基区(base):(1)NPN或PNP结型晶体管的控制部分;(2)使用硼做的P型扩散过程,用来形成NPN型晶体管的基区,横向PNP晶体管的发射区和收集区,以及电阻。
双极-MOS(bi-MOS):包含双极和MOS两种晶体管的电路。
二进制符号(binary notation):用2的幂表示所有数字的一种方法(只有0和1)。
双极型晶体管(bipolar transistor):一种由一个发射极、基极和集电极组成的晶体管。其行为通过由集电区注射到基区的少数载流子决定。有时也称NPN或PNP型晶体管来强调其分层的结构。
舟(boat):(1)由石英或金属连接而成承载晶圆的装置,用于高温工艺过程;(2)用Teflon或塑料制成的晶圆承载器,用于湿法清洗过程。
推舟器(boat puller):一种将载有晶圆的舟以固定速度推进或拉出炉子的机械装置。
BOE:参见缓冲氧化刻蚀。
压焊点(bonding pad):芯片上的电极(通常在周边),用来与封装体的电系统连接。
硼(B):P型掺杂物。在标准双极型集成电路工艺中用来做隔离区和基区扩散。
三氯化硼(BCl3):气体,经常用来向硅中掺硼。
起泡器(bubbler):一种装置,使其内部输运气体的“冒泡”通过某种热液体,将部分液体携带走。例如,某种输运气体(氮气或氧气)冒泡通过98℃~99℃的去离子水,到达氧化管。
缓冲氧化物刻蚀(buffered oxide etch):一种氟化氢(HF)和氟化氨(NH4F)的混合物,用来使氧化物的刻蚀以缓慢、受控的速度进行。
凸点/焊球连接技术(bump/ball connection technology):一种在压焊点上形成的金属凸点或焊球结构,使芯片到封装体的连接通过芯片翻转形成。
埋层(buried layer):在生长外延层之前在P型衬底上的N+扩散。掩埋层为流向器件的电流提供了一条低电阻的通路,一般掩埋层掺杂物为锑和砷。
封罐(can):一种金属封装,用来将芯片通过3~5个引脚连接到印制电路板上。
电容器(capacitor):一种分立器件,将电荷储存在有介质分隔的两个导体上。
电容(capacitance):电荷储存的能力。
电容-电压图(C-V图):一种可以提供关于在氧化层中可动离子杂质量的信息的绘图。
承载气体(carrier gas):惰性气体,可以将一种所需物质的原子或分子输运到反应室中。
载流子激发结深探测(carrier illumination junction detection):一种通过载流子电荷在由激光束入射的结边的积累,确定结深的非破坏性系统。
厘斯托克(centistoke):黏度测量单位,动力黏度单位厘泊(centipoise)除以密度。
沟道(channel):半导体中的一个狭窄区域来支持导电。沟道可以在表面或体内形成,对MOSFET和SIGFET的性能都很关键。如果沟道不是电路设计的一部分,其存在会体现可能的污染问题或是隔离不完全问题。
隧道效应(channeling):一种离子束穿透并进入晶圆晶体平面的现象。通过“偏离晶向”切割晶圆可以防止隧道效应的产生,其效果是使晶面相对离子束的方向倾斜。
电荷载流子(charge carrier):固体器件的晶体中电荷的载体,如电子或空穴。
化学刻蚀(chemical etching):通过液体反应物有选择地去除某种材料。刻蚀的精确度由刻蚀液的温度、浸入时间及酸性腐蚀液的成分来控制。
化学机械抛光(CMP):一种使晶体平坦和抛光的工艺。将化学去除和机械抛光结合到一起,用于晶体生长后的晶圆磨平抛光和晶圆制造工艺过程中的平坦化。


芯片(chip):芯片或器件。晶圆上单个的集成电路或分立器件。
芯片尺寸封装(chip scale package):与芯片尺寸相当的芯片封装形式。
铬(Cr):掩模版制造中的常用金属。用来做生成电路图形的薄层。
电路板(circuit board):参见印制电路板。
电路布局(circuit layout):为产生需要的电学参数而做的关于物理器件尺寸的计算。垂直尺度决定CVD和掺杂层厚度的规范;水平尺度决定晶圆图形的尺度,并作为最终电路的比例绘图(复合绘图)的基础。
净化度(class number):在一立方英尺空气中污染物微粒的数量。
净化间(clean room):半导体器件制备的区域。室内的洁净度高度受控,以限制半导体可能接触到的污染物的数量。
亮场掩模版(clear field mask):一种掩模版,其上的图形由不透明区域决定。
集簇设备(cluster tool):几个工艺机台或设备共用同一加载-卸载室和晶圆传送系统。
互补型场效应晶体管(CMOS):N型和P型沟道MOS晶体管在同一芯片上。
集电极(collector):与基区和发射区一起作为双极型晶体管的重要区域。
平行光束(collimated light):光线平行的光束,用于表面观察。
复合图(composite drawing):最终电路的比例绘图。
电导性(conductivity):材料传导电荷的能力(电导率以西门子为单位,电阻以欧姆为单位)。
导体(conductor):具有低电阻和高电导率的材料。
接触(contact):在金属化过程中被重新覆盖暴露的硅区域,形成到器件的电通路。
接触式光刻机(contact aligner):一种对准设备,在光刻胶曝光前将晶圆和模板夹紧接触。
接触孔掩模版(contact mask):在晶圆表面层上开孔,以允许金属层达到掺杂硅的衬底上。
污染物(contamination):通用术语,用来描述任何不期望有的材料。对半导体晶圆的物理和电学特性均有不良影响。
铜(Cu):用于连接芯片表面上半导体器件的金属。一般在双大马士革图形化工艺中使用。
关键尺寸(CD):关键电路图形的线宽、间距宽度以及接触区的尺寸。
低温泵(cryogenic pump):一种真空泵。可以提供10-10托的真空,同太空的真空水平相同。无须前级泵或冷阱,并且比其他类型的泵更快。
低温晶圆清洗(cryogenic wafer cleaning):使用“雪态”(SNOW)高压二氧化碳(CO2)清洗晶圆表面的技术。
晶体(crystal):原子有序排列的材料,其结构化的单元称为晶胞。
晶体缺陷(crystal defect):晶体中的空位和错位,会影响电路的电性能。
晶向(crystal orientation):主晶面的法向,用密勒指数表示。
晶面(crystal planes):半导体晶格结构中的平面。芯片必须沿着该平面排列,以防止当晶圆被分割成单个芯片时出现“粗糙”的芯片边缘。
CUM良品率(CUM yield):参见制造良品率。
电流(current):单位时间内通过给定点的带电粒子数。
曲线跟踪仪(curve tracer):电测仪器。可以将器件的特性直观显示在屏幕上。
化学气相淀积(CVD):淀积某些介质层、导电层或半导体层的一种方法。含有需要淀积物质原子的化学药品与另一种化学品反应,将所需材料释放出来,并淀积在晶圆上。同时,衍生物(副产品)从反应室去除。


Czochralski 晶体生长机(Czochralski crystal grower):一种晶体生长机。使用籽晶从熔融的材料中拉出晶体。
暗场掩模版(dark field mask):一种掩模版。图形由掩模版上的透明部分决定。
深紫外线(DUV):用来对光刻胶曝光的光源。具有产生较小图像宽度的优点。
缺陷密度(defect density):芯片上每平方厘米的缺陷数。
脱水烘焙(dehydration baking):一种加热过程,使晶圆表面通过烘焙恢复到无水状态,即表面水分在升高的温度下从晶圆表面蒸发。
去离子水(DI):没有溶解离子的工艺用水。通常规格电阻率为15~18MΩ。
耗尽层(depletion layer):半导体中的某种区域,其中几乎所有载流子都在电场作用下被扫出。
淀积(deposition):通过化学反应形成薄膜层的工艺过程。材料在晶圆表面形成并覆盖晶圆。
设计规则(design rule):电路的最小元件尺寸。
显影目检(develop inspection):光刻掩模过程的第一步目检。包含对关键尺度的测量和缺陷目检。通常会在显影后或显影及硬烘焙(如果有自动烘焙系统)后进行。
显影(development):光刻胶工艺过程。在经过芯片制造工艺中的掩模和曝光后,所确定的区域光刻胶被去除的过程。
显影剂(developer):在经过芯片制造工艺中的掩模和曝光确定去除区域后,用来去除光刻胶的化学药品。
器件(device):单结元件,如晶体管、电阻或电容器。
去离子水(DI water):通过其电阻率测量该水的纯度,标准是18MΩ。
乙硼烷(B2H6):一种气体,经常用于向硅中掺硼。
芯片(die):晶圆上由划片线分隔的单元。当所有晶圆制造步骤完成后,芯片经过切割分开。分开后的单元称为芯片。
芯片黏结(die bonding):封装步骤。通过导电的黏合剂或金属合金将单个芯片黏接在封装体上。
芯片分拣(die sort):参见晶圆电测,通常称为中测。
介质(dielectric):绝缘材料。在加电压时不传导电流。半导体工艺中常用两种介质,即氧化硅和氮化硅。
扩散(diffusion):半导体生产工艺。将少量杂质(掺杂物)加入衬底材料如硅或锗中,并使掺人的杂质在衬底中扩散。该工艺过程对温度和时间依赖性很强。
扩散率(diffusivity):掺杂物在半导体中移动或扩散的速率。
二极管(diode):只允许电流单向流动的器件。
双列直插封装(DIP):长方形集成电路封装体。其引脚沿长边排列并向下弯折以便插接。
分立器件(discrete device):只具备单一功能的电路。包括电容、电阻、晶体管和熔断丝等。
位错(dislocation):晶格中的断续现象,是一种晶格缺陷。
扩散的MOS(DMOS):一种晶体管结构。源极和漏极间距(沟道长度)很小。沟道长度通过连续两次从同一处扩散形成。
施主(donor):某种可以将半导体变为N型的杂质。贡献额外的“自由”电子,电子携带负电荷。掺杂物(dopant):一种可以改变半导体导电性的元素。可以对导电过程提供空穴或电子。对
硅的掺杂物往往来源于元素周期表中的第III族和第V族元素。
掺杂淀积(dopant deposition):扩散工艺过程的第一步。掺杂物原子扩散进入晶圆表面。


掺杂(doping):将某种杂质(掺杂物)引入半导体晶格,并改变其电特性。例如,在硅中加人硼使硅成为P型半导体。
漏极(drain):与源极,栅极共同构成单极型或场效应晶体管(FET)。
动态随机存储器(dynamic random access memory):存储数字信息的存储器。信息被存储在“易失”(volatile)状态。
推进(drive-in):扩散工艺的一个阶段,掺杂物被推向晶圆深处。
干法刻蚀(dry etch):参见等离子体刻蚀。
干氧化(dry ox):使用氧气和氢气生长二氧化硅的方法。在工艺温度下形成水蒸气,而不是直接使用水蒸气。
干氧化硅(dry oxide):使用氧气热氧化生成的二氧化硅。
双大马士革(dual damascene):一种图形化工艺,首先将要求的图形定义在晶圆上表面的沟槽里,接下来用导电金属过填充。通常使用化学机械抛光工艺去除溢出的过填充。留下在槽内的金属图形。
电子束(electron-beam):不需要掩模版而可以直接生成图形的曝光光源。电子束可以通过静电板的偏转到达准确的位置,产生亚微米级的图形。
电子束光刻机(electron-beam aligner):一种对准设备。通过在晶圆表面移动(犹如书写)电子束使涂好光刻胶的晶圆曝光。
电子束蒸发(electron-beam evaporation):利用聚焦的电子束的能量达到相变的方法。用来使金属或合金从固态转为气态。
电子束曝光系统(electron-beam exposure system):一种曝光设备,将图形模式储存在计算机中,用来控制静电板,继而调整电子束方向。可在不使用掩模版的情况下产生电路图形。
边缘头(edge bead):在旋转涂覆光刻胶工艺中,在晶圆的边缘堆起的头状。
边缘芯片(edge die):晶圆边缘不完整的芯片。
电可擦除可编程存储器(electrically erasable PROM):一种存储电路,具有通过电脉冲清除数据并再接受新信息的能力。
电迁徙(electromigration):电路工作时,电子在导线中的电场下扩散的现象。往往发生在铝膜导线中并表现为电路失效而非工艺缺陷。金属导线会变薄直至断开,引起电路开路。
电子(electron):原子中围绕原子核旋转的带电粒子。可与其他原子中的电子配合成键,也可以从原子中失去使原子变成离子。
椭偏仪(ellipsometer):利用激光做光源测量薄膜厚度的仪器。
发射极(emitter):(1)晶体管中的区域,作为载流子源或输入端;(2)通常使用磷做的N型扩散过程。形成NPN型晶体管的发射极,PNP晶体管的基区接触,NPN晶体管的N*接触,以及低阻值电阻。
外延(epitaxial):(希腊语“置于其上”)在单晶衬底上生长单晶半导体薄膜。外延层与衬底材料的晶格特性相同。
环氧封装体(epoxy package):参见压塑封装体。
可擦除可编程存储器(erasable PROM):具有清除数据并再接受新信息能力的存储电路。
刻蚀(etch):去除特定区域材料的工艺过程。往往通过湿法或干法的化学反应,或者物理方法,如溅射刻蚀实现。



蒸发(evaporation):通过加热将某种材料(通常是金属或金属合金)从固态变为气态,并淀积在晶圆表面的工艺。半导体工艺中常使用电子束或灯丝式加热蒸发的方法。
曝光(exposure):利用光或其他能量形式与对这种能量形式敏感的光刻胶交互作用,从而确定图形的方法。
制造(fabrication):集成电路生产过程。
制造良品率(fabrication yield):到达晶圆分拣处的晶圆数量与工艺开始时的晶圆数量的百分比。
特征图形尺寸(feature size):器件中图形开口或间距的最小宽度。
场效应晶体管(field-effect transistor):包含源、栅、漏极的晶体管。其行为由从源极经过栅极流向漏极的多数载流子电流决定。电流由栅极下的横向电场控制。参见单极晶体管。
场氧化物(field oxide):电子器件中氧化物用来作为介质的区域。
最终测试(final test):封装工艺的最后一步。对封装好的芯片做最后的测试。
Fin 场效应晶体管(FinFET):具有堆起的“鳍”(fin)形的一种3D晶体管,它可以提供比平面栅更大的栅面积。
快闪存储器(flash memory):一种EPROM或EEPROM,具有成块擦除存储矩阵中数据的能力。恒温区(flat zone):管形炉中温度高度受控的区域。
翻转芯片连接(flip-chip joining):一种芯片或封装体的连接工艺。在芯片表面做连接的金属形成“凸点”,而芯片“翻转”后焊接在封装体上,也称为倒扣焊。
前开口统一标准的匣(front opening unified pod):在晶圆制造线上使用的晶圆载片匣。为了维护晶圆洁净,它是一个微小环境并且与工艺设备匹配。
四探针测试仪(four-point probe):用来测量晶圆表面电阻的电测设备。
反应炉(furnace):具备电阻加热元和温度控制器的工艺设备。在半导体工艺中用来提供一个受控的恒温环境。
熔断丝(fuse):一种电路元件,通过熔断使某个存储单元或逻辑门被编程。
砷化镓(GaAs):半导体材料中最常见的化合物。优点是可以生产比在硅衬底上的器件速度更快的器件。
栅(gate):与源极、漏极共同构成单极型或场效应晶体管(FET或MOS)。
门阵列(gate array):集成电路类型,通过门的相互连接提供所需的功能。
栅氧化膜(gate oxi):位于MOS晶体管栅极的氧化物薄膜,引起电荷效应,并在源和漏之间形成沟道。
锗(germanium):半导体材料,用于生产晶体二极管及早期的晶体管。
高效过滤器(HEPA filter):一种由脆性纤维制成的折叠形的过滤器。在操作员感到舒适的低空气流速时可提供较大面积的过滤。其过滤效率可达99.99%。
六甲基乙硅烷(HMDS):主要用于提高光刻胶的附着性。
高压氧化(high-pressure oxidation):高气压(10~20个大气压)下的氧化过程以减少对热量和时间的要求。这种工艺的反应室必须用不锈钢制成以安全地保持压力。
空穴(hole):(1)半导体晶体中价带电子的缺失。空穴的运动相当于正电荷的运动。(2)由光刻掩模工艺在表面层形成的“开孔”。
混合集成电路(hybrid integrated circuit):将一种或多种半导体器件与一个薄膜集成电路制作在同一衬底(通常是陶瓷材料)上的电路结构。
氢氟酸(HF):用于刻蚀二氧化硅的酸,常稀释或缓冲后使用。


氢气( H2 ):一种气体,在半导体工艺中主要作为输运气体,特别在高温反应,如外延硅的生长过程中。
亲水性(hydrophilic):与水亲近(喜水性),一个亲水性表面允许水在其上的较大范围内扩展。
厌水性(hydrophobic):不易与水亲近,一个厌水性表面一般不会存留大片的水。水在这样的表面易呈滴状。这种表面常称为“去湿的”。
吸湿性(hydroscopic):吸引并吸收水分。
集成电路(integrated circuit):许多元器件被制造和连接在一片半导体芯片上的电路,与“非集成电路”相反。在非集成电路中,晶体管、二极管、电阻等是分别制造和封装的。
集成度(integration level):一个芯片内所有元件的数量范围。从SSI(小规模集成,少于50个元件)到ULSI(甚大规模集成,超过1000000个元件)。
国际半导体技术路线图(intenational technology roadmap of semiconductor):未来对于晶圆制造工艺、工厂运作、器件、材料和功能要求的路线图。
内插结构(interposer):包含金属化和通孔的,允许在一个管壳内连接分离的芯片并保护芯片的钝化层。
本征半导体(intrinsic semiconductor):一种元素或化合物,其外电子层具有4个电子(如元素周期表中的第IV族元素,或第III族和第V族元素的化合物)。
离子(ion):一个原子得到或失去电子,成为带电粒子(负电性或正电性)。
离子束铣(ion beam milling):使用离子束的干法刻蚀方法。氩原子被电离并加速到晶圆上。晶圆暴露的部分通过溅射方式去除。
离子注入(ion implantation):将选择的杂质(掺杂物)通过高电压离子轰击的方式引入晶圆内,并在指定的区域获得理想的电特性。
互连(interconnect):参见导线。
ISO 9000:国际标准化组织关于净化间的标准。
隔离扩散(isolation diffusion):扩散步骤。形成围绕需要隔离区域的PN结。
各向同性刻蚀(isotropic etching):指对光刻胶的刻蚀同时向下和向侧面进行。
结型场效应晶体管(junction field-effect transistor):电压加在一个电极以控制源区和漏区之间电流的器件。
结(junction):材料内从P型导电转向N型导电(或相反)的界面。
致命缺陷(killer defect):可引起器件或电路失效的缺陷。
横向扩散(lateral diffusion):每次当晶圆加热到接近扩散温度时,掺杂物从一边扩散到另一边的过程。
薄膜工艺(layering):不同材料的薄层生长,或添加到晶圆表面的工艺。
导线(lead):晶圆表面的金属条。
发光二极管(light-emitting diode):一种半导体器件,少数载流子的能量与空穴结合后转化为光。通常,但不一定由带PN结的器件构成。
剥离工艺(lift-offprocess):一种材料去除工艺。材料淀积到光刻胶内的孔内,而决定图形后,光刻胶从表面被去除(剥离)。
亮场掩模版(light field mask):参见亮场掩模版。


平版印刷术(lithography):用来进行图案的转移,当使用光线的时候,这个词变成photoli-thography,表示光刻工艺的意思,当图案的尺寸可以使用微米来衡量的时候,这个词就变成microlithography,代表微光刻技术的意思。
局部氧化隔离工艺(LOCOS):一种MOS器件之间隔离的工艺,这种工艺将包围在器件周围不被氮化硅保护的硅层氧化,然后将作为保护层的氮化硅去除,使器件生长的硅暴露出来。
低压化学气相淀积(LPCVD):一种在低压环境下进行化学气相淀积工艺的系统。
大规模集成电路(LSI):表示器件集成度在5000~100000个之间的集成电路。
多数载流子(majority carrier):在半导体材料中占有优势的载流子(自由电子或空穴),例如在N型半导体中的自由电子。
光刻掩模版(mask):在光刻工艺中使用的一种表面被各种图案覆盖的玻璃板,每个图案都包含有不透明和透明的部分,用来阻挡和允许光线通过,每一块光刻掩模版都会与晶圆上原有的图案对准,通过光线的透射来对光刻胶进行曝光。光刻掩模版上图案的制作材料可以是乳剂、铬、氧化铁、硅或者是其他的不透光的材料。
光刻(masking):参见patterning。
存储器(memory):存储数据的器件。
金属光刻(metal mask):在晶圆表面留下一片独立导体材料的工艺程序。
金属有机化学气相外延淀积(或金属有机气相外延)(metalorganic CVD):一种使用卤化物和金属有机物的气相外延生长。
微机械电子系统(MEMS):使用半导体制造工艺制造微小(纳米级)机器。
微芯片(microchip):参见芯片。
密勒指数(Miller indices):通过3个数字组合来表示晶体中的晶向的系统。
mini 环境(minienvironment):独立的洁净小环境,晶圆的装卸、保存、运输等过程都在其中完成。
少数载流子(minority carrier):在半导体中不占优势的载流子,如在P型半导体中的自由电子。
存储器MOS(MMOS):一种非易失性的存储器结构,非易失性的存储器可以在掉电的情况下保存其中的数据。
可动离子污染(mobile ionic contaminant):这种带电荷的污染物可以导致器件的失效。
塑封(molded package):使用环氧树脂或者其他聚合物材料熔铸在芯片和芯片引脚框架周围形成的一种封装形式。
分子束外延(molecular beam epitaxy):一种蒸气淀积工艺,可以非常严格地控制整个淀积过程。
分子(molecule):保持物质本身特性的最小物质数量单元。
单色光(monochromatic light):只有单一波长的光线。
金属氧化物半导体场效应管(MOSFET):一种场效应管,包括金属栅极和氧化硅隔离层。
中规模集成电路(MSI):集成度在50~5000个器件的集成电路。
多芯片封装(MCM):在一个半导体管壳中包含用薄膜金属系统连接的两个以上集成电路芯片的形式。
多层光刻胶工艺(multilayer resist process):一种使用多层光刻胶的图形分辨工艺。
纳米(nm):长度单位,1n m = 1 \times 1 0 ^ { - 9 } m 。
纳米技术(nanotechnology):用于建立半导体器件和其他具有纳米尺度的工艺和材料。


负胶(negative resist):光刻胶的一种,这种光刻胶在接触到光线被曝光的部分后,在后续的显影工艺中不会被去掉,而没有被曝光的部分在显影之后会被去掉。对于光刻掩模版的图案,应用负胶可以得到掩模版的反转图形。图案比较少的光刻掩模版通常会使用负胶。
下一代光刻技术(NGL):在晶圆进行具有纳米范围特征尺寸的图形化工艺所用的工艺、材料和设备。
硝酸(nitric acid):一种强酸,通常被用来清洗硅片和做刻蚀。
氮化(nitridation):将硅片表面暴露在氮气中并加高温处理,从而形成氮化硅的工艺。
氮气(nitrogen):一种不易与其他材料发生反应的气体。在半导体工艺中常被用来作为其他化学品的载体。
N沟道金属氧化物半导体(NMOS):N沟道金属氧化物半导体,导电时其沟道为负电性。
非易失性存储器(nonvolatile memory circuit):一种可以在掉电后仍然保存数据的存储器电路。
N型(N-type):一种半导体材料,多数载流子是电子,因此带负电。在硅中N型掺杂剂是V族元素,其原子中最外的第五个电子是自由参加导电的。
NPN型晶体管(NPN transistor):具有三明治结构的双极型晶体管,在两个N型发射极和集电极区域中夹着一个P型的基极区域。
欧姆定律(Ohm's law):由于表征电阻、电压与电流之间的关系,电阻等于电压与电流之比,R = V / I 。
油扩散泵(oil diffusion pump):一种高真空泵,通过油蒸气来将反应室中的微粒带出反应室外。
光学临界式掩模(optical proximity mask):具有为解决在曝光工艺中的散射效应而设计图形的光掩模和放大光掩模。
整体良品率(overall yield):最终正常工作的已封装芯片数和晶圆上所有芯片个数的比值,这个值是综合晶圆生产过程的良品率、中测良品率和封装良品率的产物。
氧化(oxidation):当硅暴露在氧气中的氧化过程。氧化工艺受温度的影响很大。
氧化反应室(oxidation reaction chamber):氧化反应进行的环境,通常用石英或者是碳化硅做成反应腔,因为这些材料能抗热而且纯度很高。
氧化物(oxide):参见二氧化硅。
氧化硅刻蚀(oxide etching):使用氢氟酸(HF)来进行二氧化硅刻蚀的工艺。通常人们使用缓冲二氧化硅腐蚀(BOE),因为必须对HF进行缓冲以使化学反应减速到可以很好控制的程度。封装体(package):包裹半导体芯片以保护芯片并提供连接外部电路管心的包装或管壳。封装良品率(packaging yield):从封装后经过电测仍然工作的芯片个数与进入封装过程的合
格半导体芯片个数的比值。
钝化层(passivation):在芯片制造工艺中的最后一层密封保护层,它可以阻止外界化学反应、腐蚀和封装过程中的处理对芯片产生的影响。钝化层通常是用二氧化硅或者氮化硅,以防止潮气或沾污。
图形化工艺(patterning):将图案从光刻掩模版上转移到晶圆上,从而定义要刻蚀或掺杂区域的工艺,常特指光刻工艺。
保护膜(pellicle):一种光学级的聚合物薄膜,它被绷在一个框架上并固定在掩模版或放大掩模版上。这就解决了空气中污染物在掩模版上积累并形成类似不透明点的问题。在曝光中,任何污染物都被保持在焦平面之外,而不被“打印”到晶圆上。
磷烷(phosphine):一种气体,在掺杂工艺中常被用作磷的源。


磷(phosphorus):通常用在标准双极集成电路工艺中作为集电极和发射极的N型掺杂剂。
三氯氧磷[phosphorus oxychloride(POCl3)]:一种液体,经常被用在掺杂硅的生产中,用来提供掺杂的磷。
光刻(photomasking):参见patterning。
底版(photoplate):还没有做图案的光刻掩模版。
光刻胶(photoresist):在晶圆的表面涂布的一层对光线敏感的薄膜,在透过掩模版的强光照射下曝光。被曝光(或没有被曝光,取决于它们的极性)后的光刻胶在显影步骤中被洗掉,从而在晶圆表面产生光刻胶图案,后续的工艺如刻蚀等在不被光刻胶保护的表面进行。又称为光致抗蚀剂。
针孔(pinhole):在光刻胶中或在掩模版不透光部分中的小孔洞。
针栅阵列封装(Pin Grid Array,PGA):器件封装的一种,器件底座上伸出许多个针形的管座,器件在封装内与这些针形管座相连。
平面结构(planar structure):通过扩散和氧化在硅片的表面形成的平面结构的器件。
平坦化(planarization):在制造工艺中,通过热流程、有机层或化学机械抛光技术对晶圆表面的平整化。
等离子体(plasma):微粒经过离子化形成的高能量气体。
等离子体增强化学气相淀积(plasma-enhanced CVD):一种通过等离子体能量来进行淀积的化学气相淀积系统。
等离子体刻蚀(plasma etch):通过等离子体能量增强的反应气体进行干法刻蚀的工艺。
塑封(plastic package):参见 molded package。
通孔塞[plug,(via plug)]:在多层金属工艺中,在连接不同层金属时的通孔中淀积金属(通常是难熔的金属)形成接线柱。
P沟道金属氧化物半导体(PMOS):金属氧化物半导体场效应管的一种,导电沟道中的多数载流子为空穴。
PNP型晶体管(PNP):在两个P型区域中夹着一个N型区域的半导体结构,常见的双极型器件的一种。
多晶硅栅极(polycide MOS gate):金属氧化物半导体中的一种常见的三明治栅极结构,在氧化硅的表面上有一层多晶硅,在多晶硅的表面再覆盖一层不易熔的金属层。
多晶硅(polycrystalline silicon):具有很多短程有序晶体而整体无序的硅结构。
聚合物(polymer):有很多重复结构组成的有机物的聚合物。
正胶(positive resist):光刻胶的一种,这种光刻胶在接触到光线被曝光之后,在后续的显影工艺中会被去掉,没有被曝光的部分在显影之后会被保留下来。对于光刻掩模版的图案,应用正胶可以得到掩模版的正片图形。
曝光后烘焙(post exposure bake):在曝光工艺完成后为了减少图案驻波影响而采用的烘焙工艺。
预淀积(predeposition):在对半导体材料的晶体结构进行定量掺杂时的一个工艺步骤。
底胶(primer chemical):为了增强确定薄膜的黏合度而加入的化学品(在半导体工艺中,这种需要增强的薄膜通常是光刻胶)。
工艺设备(process tool):用于晶圆制造的工艺设备和系统的术语。



投影光刻(projection alignment):在光刻工艺中,使用光学方法将掩模版上的图案投影到晶圆上。这种方法可以防止掩模版和光刻胶涂层的损坏,同时又具有与接触式光刻方法同样的生产率。在大规模集成电路和VLSI集成电路生产中,这种投影方法是标准方法。
投影光刻机(projection aligner):通过光线投影的方法进行图案转移的机器。
可编程只读存储器(Programmable Read-Only Memory,PROM):一种只读存储器,在存储器阵列中每个单元电路都具有熔丝,通过将某些熔丝烧断,可以对用户特定的信息进行编程。
接近式光刻机(proximity aligner):在显影过程中,将掩模版和晶圆保持很近距离的一种平板光刻机。
P型半导体(P-type):在本征半导体中掺入元素周期表中的第III族元素就形成P型半导体,在这种半导体中导电的多子(也称多数载流子)是空穴。
石英(quartz):对于氧化硅的商业称法,因为石英的低导热性,石英在半导体工业中被广泛使用。随机存储器(RAM):临时存放数据的器件。
快速热氧化(RTO):在快速热反应设备中进行的氧化工艺。
快速热处理(RTP):通过密集的灯光或者其他热源,对于晶圆进行毫秒级的快速升温和降温处理的设备,这种设备一次只处理一片晶圆。
RCA清洗(RCA clean):在氧化工艺前进行的一系列步骤的清洗程序,这种清洗过程以开发这种清洗过程的RCA公司命名。
反应离子刻蚀(RIE):一种结合了等离子体刻蚀和离子束表面去除的刻蚀工艺。刻蚀气体通过管道进入反应室并被离子化,单独的分子被加速打到晶圆的表面,晶圆表面被同时进行的物理和化学反应腐蚀。
反应室(reactor):(1)在半导体工艺中进行薄膜淀积过程中用到的反应室,例如晶体外延层反应室、气相反应室和氮化硅反应室等;(2)参见塑封(plasma etcher)。
难熔金属(refractory metal):具有耐热、耐磨和耐腐蚀特性的一类金属。它们被用作通孔塞体系的导体,包括钼、钽和钨。
电阻率(resistivity):电流在材料中流过的阻抗的量度。是原子的质子带的正电荷对于原子的外部电子吸引力的函数,原子核对电子的束缚力越大,电阻率就越高。
分辨能力(resolution capability):光刻工艺或其他设备可以提供的最小分辨率。
放大掩模版(reticle):只包含了整个晶圆一部分图案的光刻掩模版。
漂洗(rinse):用来去除湿法刻蚀后产物或显影后产物的工艺。通常这个工艺步骤会阻止刻蚀或显影的化学品继续进行反应,并去除表面上未反应的化学品。有很多种不同的漂洗工艺,例如溢流漂洗、喷射漂洗、倾卸漂洗、旋转-漂洗-甩干机等。
只读存储器(ROM):一种只可以读取原来保存的数据,不可以改写的存储器。
自对准金属硅化物栅极(salicide MOS gate):一种多晶硅化物MOS栅结构在顺序工艺中形成自对准的源或漏。参见多晶硅栅极(polycide MOS gate)。
扫描电子显微镜(scanning electron microscope):通过电子扫描的办法,可以将显微镜的放大倍数提高到50000倍。被加速的电子撞击在样品的表面,在样品表面产生二次电子,这些电子的信息被传感器接收到并被转换成图像信号在屏幕上显示出来。
划片线(scribe lines):在晶圆上用来分隔不同的芯片之间的划片线。在封装过程中,晶圆会被沿着划片线切开,产生出独立的芯片。

自对准栅(self-aligned gate):一种MOS结构,它允许源或漏直接与栅对准而无须用光刻胶对准步骤。
半导体(semiconductor):导电性能介于导体和绝缘体之间的物质,例如硅和锗。导电的主要载体是电子和空穴。常见的单质半导体材料有硅和锗,常见的化合物半导体有砷化镓,等等。
方块电阻(sheet resistance):一种用来测量半导体中掺杂多少的测量手段,方块电阻的单位为欧姆/□。又称为薄层电阻。
边缘扩散(side diffusion):参见横向扩散。
硅(silicon):被广泛使用的第IV族半导体材料,用来制造二极管、晶体管和集成电路。
二氧化硅(silicon dioxide):通过硅热氧化或淀积的方法在晶圆表面形成的硅的氧化物,用作绝缘层。热氧化生长通常在900℃的温度由硅和氧气或水蒸气反应。
硅栅MOS(silicon gate MOS):在二氧化硅薄层上具有一层多晶硅的MOS栅结构。
氮化硅(silicon nitride):在600~900℃温度之间通过化学淀积在晶圆表面的硅的氮化物绝缘层。当在晶圆处理过程的最后被淀积时,充当芯片的保护层以防止污染。
单晶(single crystal):通常用来表示物质的单个晶体结构和整体结构的有序排列,与之对应的是整体无序的多晶结构。
斜度刻蚀(slope etching):受控的钻蚀,在进行孔结构的刻蚀过程中,为了减小侧壁的阴影效应而有意增加的过刻蚀。
软烘焙(soft baking):用来去除光刻胶中溶剂的加热过程。经过这步工艺处理之后,光刻胶仍然还是软的,将溶剂蒸发的目的有两个:(1)去除光刻胶中的溶剂成分;(2)加强光刻胶涂层和晶圆表面的黏合度,又称为前烘。
固体电子学(solid-state electronics):用来表示由固体材料,例如半导体材料、铁电体或薄膜组成的电子器件。区别于早期由电子管构成的电子器件或线路。
源极(source):场效应管中的一个极,其余两个极为栅极和漏极。
分光光度计(spectrophotometer):一种收集光波干涉信息的仪器,通过这些信息可以计算得到薄膜的厚度。
旋转(spinning):当光刻胶被涂布到晶圆上,晶圆需要旋转,从而使光刻胶分布均匀。通常这样可以使光刻胶在晶圆上的厚度分布在0.5μm左右,同时保证整个晶圆表面的厚度偏差在10%以内。
旋转清洗甩干机(spin rinse dryer):能通过旋转自动清洗和甩干放在片匣盒里的晶圆的一种机器。
喷雾显影(spray development):晶圆在真空吸盘上旋转期间,在其光刻胶层对图形显影的系统。
扩展电阻(spreading resistance):一种用来测量晶圆掺杂浓度的技术。
溅射(sputtering):一种在晶圆表面淀积薄膜的方法。被射频电场加速的离子撞击靶材,使靶材上的原子被撞击下来并到达晶圆的表面淀积成薄膜。
小规模集成电路(SSI):表示2~50个器件的集成度。
标准机械接口(SMIF):允许便携式洁净晶圆的盒(被称为垫)与工艺设备的洁净微环境装载台匹配的一个系统。


驻波效应(standing wave effect):一种垂直的光刻胶曝光图形,由于曝光的光线在晶圆表面反射的结构干涉,在光刻胶层中建立的驻波。
静态随机存储器(static RAM):由晶体管构成的快速可读写存储器。
湿氧(steam oxide):用气体鼓泡(通常是氧气或氮气)通过98℃~100℃水,在水蒸气环境下进行的热氧化生长工艺。
步进和重复曝光(step and repeat):在进行光刻工艺中的曝光步骤时,放大掩模版和晶圆被放置在一个x-y方向的工作台上,掩模版图形被多次重复地在晶圆上的不同区域曝光,直到整个晶圆都被曝光为止。
台阶覆盖度(step coverage):一个工艺指标,用来表示新的薄膜层是不是可以很好地覆盖原有的工艺步骤中形成的台阶结构。
步进式光刻机(stepper):每次对准和曝光一个(或少量几个)芯片的对准机器。该机器在晶圆上分步依次对准和曝光芯片。
剥离(stripping):去除工艺,通常指去除光刻胶的工艺。
次集电极(subcollector):参见 buried layer。
衬底(substrate):微电子电路中的承载材料,半导体器件、电路或晶体外延层都在上面生长。
硫酸(sulfuric acid):强酸的一种,通常用来清洗晶圆或者去除光刻胶。
承载盘(susceptor):在高温工艺中,如外延层生长或氮化硅淀积中用来承载晶圆的平台,通常用石墨做成。
系统级封装(system in package):在一个封装体内聚集多个芯片,它包含一个电子系统的功能。
片上系统(system on chip):一个具有不同部分(逻辑和存储器等)的芯片,它具有一个完整电子系统的功能。
载带自动压焊(TAB):一种芯片与封装体连接的工艺。在工艺中,封装体的电极被形成在柔性的条带上,并将所有的电极指条一次压焊到芯片上。
靶材(target):在溅射淀积工艺中要淀积的材料。
测试芯片(test die):在晶圆上与正常的芯片图案不同的芯片,这些芯片经过同样的工艺过程,但是图案上的信息用于测试。通常它们的图案尺寸比正常的芯片要大,这样更有利于在工艺过程中进行监测。
三氯乙烯(TCE):一种进行一般性清洗用的溶剂。
正硅酸乙酯(TEOS):一种用于二氧化硅淀积的化学源。
热扩散(thermal diffusion):一种掺杂方法,将晶圆暴露在含有掺杂气体的环境中加热到1000℃左右,通过热运动来使杂质进入到晶圆内。
热氧化层(thermal oxide):在半导体工艺中,使用热生长的方式产生的氧化硅层。这种方法产生的氧化层杂质和缺陷都比较少。
热电偶(thermo couple):在反应炉或者反应室中用来测量温度的器件,由两条不同的线在同一端焊接上,因为材料的不同,温度的升高会产生与温度成比例的电位差。
硅通孔技术(through silicon via):在硅芯片产生孔使其穿透芯片并填充(金属塞/通孔),促使其形成从顶到底的金属连接系统。
托(torr):压力单位,一托等于一毫米汞柱压力。
晶体管(transistor):半导体器件的一种,可以通过电流或者电压的变化来产生新的电子效应。这个英文名来源于transfer resistance(转移电阻)。

反应管(tube):(1)参见furnace;(2)被安置在反应炉内用石英做成的圆柱形容器,通常在一端或两端有管道接头,用来为工艺反应提供一个高度清洁和受控的环境。
甚大规模集成电路(ULSI):集成度在1000000以上的电路。
紫外线(ultraviolet,UV):电磁波谱从250~500nm的部分。用于光刻胶曝光的高压汞灯源发出紫外线。
钻蚀(undercutting):参见isotropic etching。
单极型晶体管(unipolar transistor):导电机理只有多子的晶体管,例如场效应管。
晶格空位(vacancy):(1)在晶体结构中本应是原子位置上的原子缺失;(2)一种晶体缺陷。
真空(vacuum):负压环境。
气相外延(VPE):一种外延淀积系统,它能结合几种源气体以淀积化合物半导体。
蒸气表面制备(vapor priming):一种表面制备的方法,将要使用的黏着剂蒸气化,以防止晶圆和黏着剂液体中任何可能的污染物接触,例如在HMDS水解液体中就可能有微粒会影响晶圆的表面。
垂直式炉管(vertical tube furnace):具有炉管垂直放置的氧化、扩散或其他炉管加工工艺。这些系统提供增大的恒温区和较小的占地面积。
通孔(via):用来连接不同层之间金属用的垂直金属通孔结构。和半导体衬底连接的为接触孔。黏度(viscosity):对于液体的一种测量,通常人们通过衡量在受测液体中推动一个物体的力
量大小来评价这一指标。这个指标也代表着液态物质中的“内部摩擦力”。
VLF罩(VLF hood):一种带有竖直空气层流的工作台,可以减少悬浮微粒。
超大规模集成电路(VLSI):集成度在100000~1000000的集成电路。
易失性存储器电路(volatile memory circuit):当电源断电时,其存储数据丢失的一类存储器电路,也称为挥发性存储器。
电压(voltage):引起带电粒子(电流)在两点之间流动所施加的力,两点之间的电势差。
晶圆(wafer):一种薄的片状半导体制造的原材料,通常是圆形的。
晶圆生产(wafer fabrication):将电路和器件放在晶圆内和上面的一系列制造的过程。
晶圆平边(wafer flat):在小尺寸晶圆边缘上一段沿直线切割过的部分,用来指示晶圆的位置朝向和掺杂的类型,又称为定位边。
晶圆电测(wafer sort):在晶圆全部制作完毕之后,对晶圆上的集成电路进行电性能和功能测试的步骤。测试机将探针插到芯片的压点上,然后加以不同的信号进行测试。用装备的探针对整个晶圆上的每一个芯片进行电性能测试。又称为晶圆分选或中测。
晶圆电测良品率(wafer sort yield):在经过电测之后,合格的芯片个数和最早进入工艺程序的芯片个数的比值。典型地,为集成电路最低主要良品率点。又称中测良品率。
连线压焊(wire bonding):在封装工艺中的一个步骤,通过焊接的方法,用金线或是铝线将芯片压点和管壳引脚连接在一起。
X射线曝光机(X-ray aligner):使用X射线和对涂有光刻胶的晶圆曝光的设备。
X射线曝光系统(X-ray exposure system):使用X射线作为曝光光源的成像系统,因为X射线的波长特别短,所以没有显现出不利的衍射效应。
良品率(yield):在半导体工业界使用的一个百分数比值,它表示完成工艺的产品数和最早进入工艺程序的产品数的百分数比值。

Semi Dance
一个爱跳舞的半导体民工~
 最新文章