《基于“矿板”低成本学习FPGA》逆向扫描插座所有IO引脚映射

文摘   2024-08-29 08:00   湖南  

一. 前言

前面分享了淘到的xc7k325t的板子作为开发板使用,板子通过4个松下的120P板对板插座引出了IO,本篇分享下如何去找到引出的IO的引脚映射。

二. 电源确认

拿到板子首先第一步,肯定是先确认如何供电,然后供电看是否能工作。这个板子卖家送了一个供电底板和电源所以直接上电即可。 假设没有这个底板,自己如何去确认电源引脚呢,就看PCI-EPIN,电源PIN会占用多个引脚,如下图所示。

另外PCIE的插座也是标准的,直接搜索也可找到对应的说明

可以参考

https://pinoutguide.com/Slots/pci_express_pinout.shtml

三. JTAG确认

确认供电之后,首先要找到的是JTAG引脚,找到了JTAG引脚就可以下载程序,后面就好办了。

所以先查找板子上是否有预留排针孔,测试点的位置,重点找那种2xn的,因为JTAG一般是2x5,或者2x10,也可能有些省引脚2x4或者单排5个引脚,至少需要TMSTCKTDOTDI四个信号,另外加VREFGND6个引脚。

另外JTAG一般也会位于板子的边缘,不会放置在板子中心区域,所以有这些线索就好找了,

找了一下板子,看到如下位置,看到有2x4的测试点,猜测就是它了。

先确认GNDVCC,万用表就可以搞定,确认了上图右边这4P的下面两个P就是GNDVCC,上面两个是NC(怎么确认是NC的呢,万用表测对地电阻即可,NC一般是M欧级别,而信号则一般有上下拉电阻K欧级)

那么确认了右边4个引脚,左边就是4个信号了,

这四个引脚可能是随意的,并不一定会按照顺序排放,所以简答粗暴直接遍历16次,看能不能使用JTAG连接即可,做种的到从上往下对应如下

TDO

TDI

TMS

TCK

这里顺便分享下JTAG连接操作步骤,给新手参考

打开vivadoOpen Hardware Manager

Open Target -> AutoConnect

识别到芯片型号

双击XADC可以查看内部的ADC

默认显示温度,还可以添加其他信号

右键点击芯片型号Program Device...可以下载bit文件,

Add Configuration Memory Device用于配置SPI FLASH存储进行固化(见前一篇公众号文章)

确认可JTAG连接,到了这一步就可以松口气了,大概率不会翻车了,基本确认FPGA是好的。JTAG能连上就可以编写程序,任意发挥,为所欲为,想干啥就干啥了,确认引脚只是时间问题了。

四. IO扫描程序

JTAG能连上了,那么接下来就是确认插座对应的引脚对应关系,这里有4个松下120P板对板插座,看起来是所有引脚都引出开来了。这简直就是一块开发板了,所以淘到的这块板子性价比非常高,可玩性很高。

确认引脚关系,我们很自然的就能想到,不管三七二十一,先对所有可能的引脚控制输出,然后用示波器测量哪个引脚有对应的信号即可。

首先查看文档UG475

找到我们对应的芯片xc7k325t,如下位置有个链接可以直接跳转到一个txt格式的引脚文件

有所有900P的描述

只看I/O TypeHRHP

Y20   IO_0_12                       NA                 12    NA            NA                  HR        NAY23   IO_L1P_T0_12                  0                  12    NA            NA                  HR        NAY24   IO_L1N_T0_12                  0                  12    NA            NA                  HR        NAY21   IO_L2P_T0_12                  0                  12    NA            NA                  HR        NAAA21  IO_L2N_T0_12                  0                  12    NA            NA                  HR        NAAB22  IO_L3P_T0_DQS_12              0                  12    NA            NA                  HR        NAAB23  IO_L3N_T0_DQS_12              0                  12    NA            NA                  HR        NAAA22  IO_L4P_T0_12                  0                  12    NA            NA                  HR        NAAA23  IO_L4N_T0_12                  0                  12    NA            NA                  HR        NAAC20  IO_L5P_T0_12                  0                  12    NA            NA                  HR        NAAC21  IO_L5N_T0_12                  0                  12    NA            NA                  HR        NAAA20  IO_L6P_T0_12                  0                  12    NA            NA                  HR        NAAB20  IO_L6N_T0_VREF_12             0                  12    NA            NA                  HR        NAAB24  IO_L7P_T1_12                  1                  12    NA            NA                  HR        NAAC25  IO_L7N_T1_12                  1                  12    NA            NA                  HR        NAAC22  IO_L8P_T1_12                  1                  12    NA            NA                  HR        NAAD22  IO_L8N_T1_12                  1                  12    NA            NA                  HR        NAAC24  IO_L9P_T1_DQS_12              1                  12    NA            NA                  HR        NAAD24  IO_L9N_T1_DQS_12              1                  12    NA            NA                  HR        NAAD21  IO_L10P_T1_12                 1                  12    NA            NA                  HR        NAAE21  IO_L10N_T1_12                 1                  12    NA            NA                  HR        NAAE23  IO_L11P_T1_SRCC_12            1                  12    NA            NA                  HR        NAAF23  IO_L11N_T1_SRCC_12            1                  12    NA            NA                  HR        NAAD23  IO_L12P_T1_MRCC_12            1                  12    NA            NA                  HR        NAAE24  IO_L12N_T1_MRCC_12            1                  12    NA            NA                  HR        NAAF22  IO_L13P_T2_MRCC_12            2                  12    NA            NA                  HR        NAAG23  IO_L13N_T2_MRCC_12            2                  12    NA            NA                  HR        NAAG24  IO_L14P_T2_SRCC_12            2                  12    NA            NA                  HR        NAAH24  IO_L14N_T2_SRCC_12            2                  12    NA            NA                  HR        NAAJ24  IO_L15P_T2_DQS_12             2                  12    NA            NA                  HR        NAAK25  IO_L15N_T2_DQS_12             2                  12    NA            NA                  HR        NAAE25  IO_L16P_T2_12                 2                  12    NA            NA                  HR        NAAF25  IO_L16N_T2_12                 2                  12    NA            NA                  HR        NAAK23  IO_L17P_T2_12                 2                  12    NA            NA                  HR        NAAK24  IO_L17N_T2_12                 2                  12    NA            NA                  HR        NAAG25  IO_L18P_T2_12                 2                  12    NA            NA                  HR        NAAH25  IO_L18N_T2_12                 2                  12    NA            NA                  HR        NAAF20  IO_L19P_T3_12                 3                  12    NA            NA                  HR        NAAF21  IO_L19N_T3_VREF_12            3                  12    NA            NA                  HR        NAAG22  IO_L20P_T3_12                 3                  12    NA            NA                  HR        NAAH22  IO_L20N_T3_12                 3                  12    NA            NA                  HR        NAAJ22  IO_L21P_T3_DQS_12             3                  12    NA            NA                  HR        NAAJ23  IO_L21N_T3_DQS_12             3                  12    NA            NA                  HR        NAAG20  IO_L22P_T3_12                 3                  12    NA            NA                  HR        NAAH20  IO_L22N_T3_12                 3                  12    NA            NA                  HR        NAAH21  IO_L23P_T3_12                 3                  12    NA            NA                  HR        NAAJ21  IO_L23N_T3_12                 3                  12    NA            NA                  HR        NAAK20  IO_L24P_T3_12                 3                  12    NA            NA                  HR        NAAK21  IO_L24N_T3_12                 3                  12    NA            NA                  HR        NAAE20  IO_25_12                      NA                 12    NA            NA                  HR        NAY25   IO_0_13                       NA                 13    NA            NA                  HR        NAY26   IO_L1P_T0_13                  0                  13    NA            NA                  HR        NAAA26  IO_L1N_T0_13                  0                  13    NA            NA                  HR        NAW27   IO_L2P_T0_13                  0                  13    NA            NA                  HR        NAW28   IO_L2N_T0_13                  0                  13    NA            NA                  HR        NAY28   IO_L3P_T0_DQS_13              0                  13    NA            NA                  HR        NAAA28  IO_L3N_T0_DQS_13              0                  13    NA            NA                  HR        NAW29   IO_L4P_T0_13                  0                  13    NA            NA                  HR        NAY29   IO_L4N_T0_13                  0                  13    NA            NA                  HR        NAAA27  IO_L5P_T0_13                  0                  13    NA            NA                  HR        NAAB28  IO_L5N_T0_13                  0                  13    NA            NA                  HR        NAAA25  IO_L6P_T0_13                  0                  13    NA            NA                  HR        NAAB25  IO_L6N_T0_VREF_13             0                  13    NA            NA                  HR        NAAC29  IO_L7P_T1_13                  1                  13    NA            NA                  HR        NAAC30  IO_L7N_T1_13                  1                  13    NA            NA                  HR        NAY30   IO_L8P_T1_13                  1                  13    NA            NA                  HR        NAAA30  IO_L8N_T1_13                  1                  13    NA            NA                  HR        NAAD29  IO_L9P_T1_DQS_13              1                  13    NA            NA                  HR        NAAE29  IO_L9N_T1_DQS_13              1                  13    NA            NA                  HR        NAAB29  IO_L10P_T1_13                 1                  13    NA            NA                  HR        NAAB30  IO_L10N_T1_13                 1                  13    NA            NA                  HR        NAAD27  IO_L11P_T1_SRCC_13            1                  13    NA            NA                  HR        NAAD28  IO_L11N_T1_SRCC_13            1                  13    NA            NA                  HR        NAAB27  IO_L12P_T1_MRCC_13            1                  13    NA            NA                  HR        NAAC27  IO_L12N_T1_MRCC_13            1                  13    NA            NA                  HR        NAAG29  IO_L13P_T2_MRCC_13            2                  13    NA            NA                  HR        NAAH29  IO_L13N_T2_MRCC_13            2                  13    NA            NA                  HR        NAAE28  IO_L14P_T2_SRCC_13            2                  13    NA            NA                  HR        NAAF28  IO_L14N_T2_SRCC_13            2                  13    NA            NA                  HR        NAAK29  IO_L15P_T2_DQS_13             2                  13    NA            NA                  HR        NAAK30  IO_L15N_T2_DQS_13             2                  13    NA            NA                  HR        NAAE30  IO_L16P_T2_13                 2                  13    NA            NA                  HR        NAAF30  IO_L16N_T2_13                 2                  13    NA            NA                  HR        NAAJ28  IO_L17P_T2_13                 2                  13    NA            NA                  HR        NAAJ29  IO_L17N_T2_13                 2                  13    NA            NA                  HR        NAAG30  IO_L18P_T2_13                 2                  13    NA            NA                  HR        NAAH30  IO_L18N_T2_13                 2                  13    NA            NA                  HR        NAAC26  IO_L19P_T3_13                 3                  13    NA            NA                  HR        NAAD26  IO_L19N_T3_VREF_13            3                  13    NA            NA                  HR        NAAJ27  IO_L20P_T3_13                 3                  13    NA            NA                  HR        NAAK28  IO_L20N_T3_13                 3                  13    NA            NA                  HR        NAAG27  IO_L21P_T3_DQS_13             3                  13    NA            NA                  HR        NAAG28  IO_L21N_T3_DQS_13             3                  13    NA            NA                  HR        NAAH26  IO_L22P_T3_13                 3                  13    NA            NA                  HR        NAAH27  IO_L22N_T3_13                 3                  13    NA            NA                  HR        NAAF26  IO_L23P_T3_13                 3                  13    NA            NA                  HR        NAAF27  IO_L23N_T3_13                 3                  13    NA            NA                  HR        NAAJ26  IO_L24P_T3_13                 3                  13    NA            NA                  HR        NAAK26  IO_L24N_T3_13                 3                  13    NA            NA                  HR        NAAE26  IO_25_13                      NA                 13    NA            NA                  HR        NAR19   IO_0_14                       NA                 14    NA            NA                  HR        NAP24   IO_L1P_T0_D00_MOSI_14         0                  14    NA            NA                  HR        NAR25   IO_L1N_T0_D01_DIN_14          0                  14    NA            NA                  HR        NAR20   IO_L2P_T0_D02_14              0                  14    NA            NA                  HR        NAR21   IO_L2N_T0_D03_14              0                  14    NA            NA                  HR        NAR23   IO_L3P_T0_DQS_PUDC_B_14       0                  14    NA            NA                  HR        NAR24   IO_L3N_T0_DQS_EMCCLK_14       0                  14    NA            NA                  HR        NAT20   IO_L4P_T0_D04_14              0                  14    NA            NA                  HR        NAT21   IO_L4N_T0_D05_14              0                  14    NA            NA                  HR        NAT22   IO_L5P_T0_D06_14              0                  14    NA            NA                  HR        NAT23   IO_L5N_T0_D07_14              0                  14    NA            NA                  HR        NAU19   IO_L6P_T0_FCS_B_14            0                  14    NA            NA                  HR        NAU20   IO_L6N_T0_D08_VREF_14         0                  14    NA            NA                  HR        NAP29   IO_L7P_T1_D09_14              1                  14    NA            NA                  HR        NAR29   IO_L7N_T1_D10_14              1                  14    NA            NA                  HR        NAP27   IO_L8P_T1_D11_14              1                  14    NA            NA                  HR        NAP28   IO_L8N_T1_D12_14              1                  14    NA            NA                  HR        NAR30   IO_L9P_T1_DQS_14              1                  14    NA            NA                  HR        NAT30   IO_L9N_T1_DQS_D13_14          1                  14    NA            NA                  HR        NAP26   IO_L10P_T1_D14_14             1                  14    NA            NA                  HR        NAR26   IO_L10N_T1_D15_14             1                  14    NA            NA                  HR        NAR28   IO_L11P_T1_SRCC_14            1                  14    NA            NA                  HR        NAT28   IO_L11N_T1_SRCC_14            1                  14    NA            NA                  HR        NAT26   IO_L12P_T1_MRCC_14            1                  14    NA            NA                  HR        NAT27   IO_L12N_T1_MRCC_14            1                  14    NA            NA                  HR        NAU27   IO_L13P_T2_MRCC_14            2                  14    NA            NA                  HR        NAU28   IO_L13N_T2_MRCC_14            2                  14    NA            NA                  HR        NAT25   IO_L14P_T2_SRCC_14            2                  14    NA            NA                  HR        NAU25   IO_L14N_T2_SRCC_14            2                  14    NA            NA                  HR        NAU29   IO_L15P_T2_DQS_RDWR_B_14      2                  14    NA            NA                  HR        NAU30   IO_L15N_T2_DQS_DOUT_CSO_B_14  2                  14    NA            NA                  HR        NAV26   IO_L16P_T2_CSI_B_14           2                  14    NA            NA                  HR        NAV27   IO_L16N_T2_A15_D31_14         2                  14    NA            NA                  HR        NAV29   IO_L17P_T2_A14_D30_14         2                  14    NA            NA                  HR        NAV30   IO_L17N_T2_A13_D29_14         2                  14    NA            NA                  HR        NAV25   IO_L18P_T2_A12_D28_14         2                  14    NA            NA                  HR        NAW26   IO_L18N_T2_A11_D27_14         2                  14    NA            NA                  HR        NAV19   IO_L19P_T3_A10_D26_14         3                  14    NA            NA                  HR        NAV20   IO_L19N_T3_A09_D25_VREF_14    3                  14    NA            NA                  HR        NAW23   IO_L20P_T3_A08_D24_14         3                  14    NA            NA                  HR        NAW24   IO_L20N_T3_A07_D23_14         3                  14    NA            NA                  HR        NAU22   IO_L21P_T3_DQS_14             3                  14    NA            NA                  HR        NAU23   IO_L21N_T3_DQS_A06_D22_14     3                  14    NA            NA                  HR        NAV21   IO_L22P_T3_A05_D21_14         3                  14    NA            NA                  HR        NAV22   IO_L22N_T3_A04_D20_14         3                  14    NA            NA                  HR        NAU24   IO_L23P_T3_A03_D19_14         3                  14    NA            NA                  HR        NAV24   IO_L23N_T3_A02_D18_14         3                  14    NA            NA                  HR        NAW21   IO_L24P_T3_A01_D17_14         3                  14    NA            NA                  HR        NAW22   IO_L24N_T3_A00_D16_14         3                  14    NA            NA                  HR        NAW19   IO_25_14                      NA                 14    NA            NA                  HR        NAM19   IO_0_15                       NA                 15    NA            NA                  HR        NAJ23   IO_L1P_T0_AD0P_15             0                  15    NA            NA                  HR        NAJ24   IO_L1N_T0_AD0N_15             0                  15    NA            NA                  HR        NAL22   IO_L2P_T0_AD8P_15             0                  15    NA            NA                  HR        NAL23   IO_L2N_T0_AD8N_15             0                  15    NA            NA                  HR        NAK23   IO_L3P_T0_DQS_AD1P_15         0                  15    NA            NA                  HR        NAK24   IO_L3N_T0_DQS_AD1N_15         0                  15    NA            NA                  HR        NAL21   IO_L4P_T0_AD9P_15             0                  15    NA            NA                  HR        NAK21   IO_L4N_T0_AD9N_15             0                  15    NA            NA                  HR        NAJ21   IO_L5P_T0_AD2P_15             0                  15    NA            NA                  HR        NAJ22   IO_L5N_T0_AD2N_15             0                  15    NA            NA                  HR        NAM20   IO_L6P_T0_15                  0                  15    NA            NA                  HR        NAL20   IO_L6N_T0_VREF_15             0                  15    NA            NA                  HR        NAJ29   IO_L7P_T1_AD10P_15            1                  15    NA            NA                  HR        NAH29   IO_L7N_T1_AD10N_15            1                  15    NA            NA                  HR        NAJ27   IO_L8P_T1_AD3P_15             1                  15    NA            NA                  HR        NAJ28   IO_L8N_T1_AD3N_15             1                  15    NA            NA                  HR        NAL30   IO_L9P_T1_DQS_AD11P_15        1                  15    NA            NA                  HR        NAK30   IO_L9N_T1_DQS_AD11N_15        1                  15    NA            NA                  HR        NAK26   IO_L10P_T1_AD4P_15            1                  15    NA            NA                  HR        NAJ26   IO_L10N_T1_AD4N_15            1                  15    NA            NA                  HR        NAL26   IO_L11P_T1_SRCC_AD12P_15      1                  15    NA            NA                  HR        NAL27   IO_L11N_T1_SRCC_AD12N_15      1                  15    NA            NA                  HR        NAL25   IO_L12P_T1_MRCC_AD5P_15       1                  15    NA            NA                  HR        NAK25   IO_L12N_T1_MRCC_AD5N_15       1                  15    NA            NA                  HR        NAK28   IO_L13P_T2_MRCC_15            2                  15    NA            NA                  HR        NAK29   IO_L13N_T2_MRCC_15            2                  15    NA            NA                  HR        NAM28   IO_L14P_T2_SRCC_15            2                  15    NA            NA                  HR        NAL28   IO_L14N_T2_SRCC_15            2                  15    NA            NA                  HR        NAM29   IO_L15P_T2_DQS_15             2                  15    NA            NA                  HR        NAM30   IO_L15N_T2_DQS_ADV_B_15       2                  15    NA            NA                  HR        NAN27   IO_L16P_T2_A28_15             2                  15    NA            NA                  HR        NAM27   IO_L16N_T2_A27_15             2                  15    NA            NA                  HR        NAN29   IO_L17P_T2_A26_15             2                  15    NA            NA                  HR        NAN30   IO_L17N_T2_A25_15             2                  15    NA            NA                  HR        NAN25   IO_L18P_T2_A24_15             2                  15    NA            NA                  HR        NAN26   IO_L18N_T2_A23_15             2                  15    NA            NA                  HR        NAN19   IO_L19P_T3_A22_15             3                  15    NA            NA                  HR        NAN20   IO_L19N_T3_A21_VREF_15        3                  15    NA            NA                  HR        NAN21   IO_L20P_T3_A20_15             3                  15    NA            NA                  HR        NAN22   IO_L20N_T3_A19_15             3                  15    NA            NA                  HR        NAP23   IO_L21P_T3_DQS_15             3                  15    NA            NA                  HR        NAN24   IO_L21N_T3_DQS_A18_15         3                  15    NA            NA                  HR        NAP21   IO_L22P_T3_A17_15             3                  15    NA            NA                  HR        NAP22   IO_L22N_T3_A16_15             3                  15    NA            NA                  HR        NAM24   IO_L23P_T3_FOE_B_15           3                  15    NA            NA                  HR        NAM25   IO_L23N_T3_FWE_B_15           3                  15    NA            NA                  HR        NAM22   IO_L24P_T3_RS1_15             3                  15    NA            NA                  HR        NAM23   IO_L24N_T3_RS0_15             3                  15    NA            NA                  HR        NAP19   IO_25_15                      NA                 15    NA            NA                  HR        NAF23   IO_0_16                       NA                 16    NA            NA                  HR        NAB23   IO_L1P_T0_16                  0                  16    NA            NA                  HR        NAA23   IO_L1N_T0_16                  0                  16    NA            NA                  HR        NAE23   IO_L2P_T0_16                  0                  16    NA            NA                  HR        NAD23   IO_L2N_T0_16                  0                  16    NA            NA                  HR        NAF25   IO_L3P_T0_DQS_16              0                  16    NA            NA                  HR        NAE25   IO_L3N_T0_DQS_16              0                  16    NA            NA                  HR        NAE24   IO_L4P_T0_16                  0                  16    NA            NA                  HR        NAD24   IO_L4N_T0_16                  0                  16    NA            NA                  HR        NAF26   IO_L5P_T0_16                  0                  16    NA            NA                  HR        NAE26   IO_L5N_T0_16                  0                  16    NA            NA                  HR        NAG23   IO_L6P_T0_16                  0                  16    NA            NA                  HR        NAG24   IO_L6N_T0_VREF_16             0                  16    NA            NA                  HR        NAB27   IO_L7P_T1_16                  1                  16    NA            NA                  HR        NAA27   IO_L7N_T1_16                  1                  16    NA            NA                  HR        NAC24   IO_L8P_T1_16                  1                  16    NA            NA                  HR        NAB24   IO_L8N_T1_16                  1                  16    NA            NA                  HR        NAB28   IO_L9P_T1_DQS_16              1                  16    NA            NA                  HR        NAA28   IO_L9N_T1_DQS_16              1                  16    NA            NA                  HR        NAA25   IO_L10P_T1_16                 1                  16    NA            NA                  HR        NAA26   IO_L10N_T1_16                 1                  16    NA            NA                  HR        NAD26   IO_L11P_T1_SRCC_16            1                  16    NA            NA                  HR        NAC26   IO_L11N_T1_SRCC_16            1                  16    NA            NA                  HR        NAC25   IO_L12P_T1_MRCC_16            1                  16    NA            NA                  HR        NAB25   IO_L12N_T1_MRCC_16            1                  16    NA            NA                  HR        NAD27   IO_L13P_T2_MRCC_16            2                  16    NA            NA                  HR        NAC27   IO_L13N_T2_MRCC_16            2                  16    NA            NA                  HR        NAE28   IO_L14P_T2_SRCC_16            2                  16    NA            NA                  HR        NAD28   IO_L14N_T2_SRCC_16            2                  16    NA            NA                  HR        NAC29   IO_L15P_T2_DQS_16             2                  16    NA            NA                  HR        NAB29   IO_L15N_T2_DQS_16             2                  16    NA            NA                  HR        NAD29   IO_L16P_T2_16                 2                  16    NA            NA                  HR        NAC30   IO_L16N_T2_16                 2                  16    NA            NA                  HR        NAB30   IO_L17P_T2_16                 2                  16    NA            NA                  HR        NAA30   IO_L17N_T2_16                 2                  16    NA            NA                  HR        NAE29   IO_L18P_T2_16                 2                  16    NA            NA                  HR        NAE30   IO_L18N_T2_16                 2                  16    NA            NA                  HR        NAH24   IO_L19P_T3_16                 3                  16    NA            NA                  HR        NAH25   IO_L19N_T3_VREF_16            3                  16    NA            NA                  HR        NAG28   IO_L20P_T3_16                 3                  16    NA            NA                  HR        NAF28   IO_L20N_T3_16                 3                  16    NA            NA                  HR        NAG27   IO_L21P_T3_DQS_16             3                  16    NA            NA                  HR        NAF27   IO_L21N_T3_DQS_16             3                  16    NA            NA                  HR        NAG29   IO_L22P_T3_16                 3                  16    NA            NA                  HR        NAF30   IO_L22N_T3_16                 3                  16    NA            NA                  HR        NAH26   IO_L23P_T3_16                 3                  16    NA            NA                  HR        NAH27   IO_L23N_T3_16                 3                  16    NA            NA                  HR        NAH30   IO_L24P_T3_16                 3                  16    NA            NA                  HR        NAG30   IO_L24N_T3_16                 3                  16    NA            NA                  HR        NAG25   IO_25_16                      NA                 16    NA            NA                  HR        NAG19   IO_0_17                       NA                 17    NA            NA                  HR        NAK18   IO_L1P_T0_17                  0                  17    NA            NA                  HR        NAJ18   IO_L1N_T0_17                  0                  17    NA            NA                  HR        NAH20   IO_L2P_T0_17                  0                  17    NA            NA                  HR        NAG20   IO_L2N_T0_17                  0                  17    NA            NA                  HR        NAJ17   IO_L3P_T0_DQS_17              0                  17    NA            NA                  HR        NAH17   IO_L3N_T0_DQS_17              0                  17    NA            NA                  HR        NAJ19   IO_L4P_T0_17                  0                  17    NA            NA                  HR        NAH19   IO_L4N_T0_17                  0                  17    NA            NA                  HR        NAL17   IO_L5P_T0_17                  0                  17    NA            NA                  HR        NAL18   IO_L5N_T0_17                  0                  17    NA            NA                  HR        NAK19   IO_L6P_T0_17                  0                  17    NA            NA                  HR        NAK20   IO_L6N_T0_VREF_17             0                  17    NA            NA                  HR        NAH21   IO_L7P_T1_17                  1                  17    NA            NA                  HR        NAH22   IO_L7N_T1_17                  1                  17    NA            NA                  HR        NAD21   IO_L8P_T1_17                  1                  17    NA            NA                  HR        NAC21   IO_L8N_T1_17                  1                  17    NA            NA                  HR        NAG22   IO_L9P_T1_DQS_17              1                  17    NA            NA                  HR        NAF22   IO_L9N_T1_DQS_17              1                  17    NA            NA                  HR        NAD22   IO_L10P_T1_17                 1                  17    NA            NA                  HR        NAC22   IO_L10N_T1_17                 1                  17    NA            NA                  HR        NAF21   IO_L11P_T1_SRCC_17            1                  17    NA            NA                  HR        NAE21   IO_L11N_T1_SRCC_17            1                  17    NA            NA                  HR        NAF20   IO_L12P_T1_MRCC_17            1                  17    NA            NA                  HR        NAE20   IO_L12N_T1_MRCC_17            1                  17    NA            NA                  HR        NAD17   IO_L13P_T2_MRCC_17            2                  17    NA            NA                  HR        NAD18   IO_L13N_T2_MRCC_17            2                  17    NA            NA                  HR        NAE19   IO_L14P_T2_SRCC_17            2                  17    NA            NA                  HR        NAD19   IO_L14N_T2_SRCC_17            2                  17    NA            NA                  HR        NAD16   IO_L15P_T2_DQS_17             2                  17    NA            NA                  HR        NAC16   IO_L15N_T2_DQS_17             2                  17    NA            NA                  HR        NAG18   IO_L16P_T2_17                 2                  17    NA            NA                  HR        NAF18   IO_L16N_T2_17                 2                  17    NA            NA                  HR        NAC17   IO_L17P_T2_17                 2                  17    NA            NA                  HR        NAB17   IO_L17N_T2_17                 2                  17    NA            NA                  HR        NAG17   IO_L18P_T2_17                 2                  17    NA            NA                  HR        NAF17   IO_L18N_T2_17                 2                  17    NA            NA                  HR        NAC20   IO_L19P_T3_17                 3                  17    NA            NA                  HR        NAB20   IO_L19N_T3_VREF_17            3                  17    NA            NA                  HR        NAA16   IO_L20P_T3_17                 3                  17    NA            NA                  HR        NAA17   IO_L20N_T3_17                 3                  17    NA            NA                  HR        NAA20   IO_L21P_T3_DQS_17             3                  17    NA            NA                  HR        NAA21   IO_L21N_T3_DQS_17             3                  17    NA            NA                  HR        NAB18   IO_L22P_T3_17                 3                  17    NA            NA                  HR        NAA18   IO_L22N_T3_17                 3                  17    NA            NA                  HR        NAB22   IO_L23P_T3_17                 3                  17    NA            NA                  HR        NAA22   IO_L23N_T3_17                 3                  17    NA            NA                  HR        NAC19   IO_L24P_T3_17                 3                  17    NA            NA                  HR        NAB19   IO_L24N_T3_17                 3                  17    NA            NA                  HR        NAE18   IO_25_17                      NA                 17    NA            NA                  HR        NAG12   IO_0_18                       NA                 18    NA            NA                  HR        NAL16   IO_L1P_T0_18                  0                  18    NA            NA                  HR        NAK16   IO_L1N_T0_18                  0                  18    NA            NA                  HR        NAL15   IO_L2P_T0_18                  0                  18    NA            NA                  HR        NAK15   IO_L2N_T0_18                  0                  18    NA            NA                  HR        NAL12   IO_L3P_T0_DQS_18              0                  18    NA            NA                  HR        NAL13   IO_L3N_T0_DQS_18              0                  18    NA            NA                  HR        NAK13   IO_L4P_T0_18                  0                  18    NA            NA                  HR        NAJ13   IO_L4N_T0_18                  0                  18    NA            NA                  HR        NAK14   IO_L5P_T0_18                  0                  18    NA            NA                  HR        NAJ14   IO_L5N_T0_18                  0                  18    NA            NA                  HR        NAL11   IO_L6P_T0_18                  0                  18    NA            NA                  HR        NAK11   IO_L6N_T0_VREF_18             0                  18    NA            NA                  HR        NAH15   IO_L7P_T1_18                  1                  18    NA            NA                  HR        NAG15   IO_L7N_T1_18                  1                  18    NA            NA                  HR        NAJ11   IO_L8P_T1_18                  1                  18    NA            NA                  HR        NAJ12   IO_L8N_T1_18                  1                  18    NA            NA                  HR        NAJ16   IO_L9P_T1_DQS_18              1                  18    NA            NA                  HR        NAH16   IO_L9N_T1_DQS_18              1                  18    NA            NA                  HR        NAH11   IO_L10P_T1_18                 1                  18    NA            NA                  HR        NAH12   IO_L10N_T1_18                 1                  18    NA            NA                  HR        NAH14   IO_L11P_T1_SRCC_18            1                  18    NA            NA                  HR        NAG14   IO_L11N_T1_SRCC_18            1                  18    NA            NA                  HR        NAG13   IO_L12P_T1_MRCC_18            1                  18    NA            NA                  HR        NAF13   IO_L12N_T1_MRCC_18            1                  18    NA            NA                  HR        NAD12   IO_L13P_T2_MRCC_18            2                  18    NA            NA                  HR        NAD13   IO_L13N_T2_MRCC_18            2                  18    NA            NA                  HR        NAF12   IO_L14P_T2_SRCC_18            2                  18    NA            NA                  HR        NAE13   IO_L14N_T2_SRCC_18            2                  18    NA            NA                  HR        NAC12   IO_L15P_T2_DQS_18             2                  18    NA            NA                  HR        NAB12   IO_L15N_T2_DQS_18             2                  18    NA            NA                  HR        NAF11   IO_L16P_T2_18                 2                  18    NA            NA                  HR        NAE11   IO_L16N_T2_18                 2                  18    NA            NA                  HR        NAA11   IO_L17P_T2_18                 2                  18    NA            NA                  HR        NAA12   IO_L17N_T2_18                 2                  18    NA            NA                  HR        NAD11   IO_L18P_T2_18                 2                  18    NA            NA                  HR        NAC11   IO_L18N_T2_18                 2                  18    NA            NA                  HR        NAF15   IO_L19P_T3_18                 3                  18    NA            NA                  HR        NAE16   IO_L19N_T3_VREF_18            3                  18    NA            NA                  HR        NAE14   IO_L20P_T3_18                 3                  18    NA            NA                  HR        NAE15   IO_L20N_T3_18                 3                  18    NA            NA                  HR        NAD14   IO_L21P_T3_DQS_18             3                  18    NA            NA                  HR        NAC14   IO_L21N_T3_DQS_18             3                  18    NA            NA                  HR        NAB13   IO_L22P_T3_18                 3                  18    NA            NA                  HR        NAA13   IO_L22N_T3_18                 3                  18    NA            NA                  HR        NAC15   IO_L23P_T3_18                 3                  18    NA            NA                  HR        NAB15   IO_L23N_T3_18                 3                  18    NA            NA                  HR        NAB14   IO_L24P_T3_18                 3                  18    NA            NA                  HR        NAA15   IO_L24N_T3_18                 3                  18    NA            NA                  HR        NAF16   IO_25_18                      NA                 18    NA            NA                  HR        NAY14   IO_0_VRN_32                   NA                 32    0             NA                  HP        NAAK16  IO_L1P_T0_32                  0                  32    0             NA                  HP        NAAK15  IO_L1N_T0_32                  0                  32    0             NA                  HP        NAAG15  IO_L2P_T0_32                  0                  32    0             NA                  HP        NAAH15  IO_L2N_T0_32                  0                  32    0             NA                  HP        NAAH16  IO_L3P_T0_DQS_32              0                  32    0             NA                  HP        NAAJ16  IO_L3N_T0_DQS_32              0                  32    0             NA                  HP        NAAF15  IO_L4P_T0_32                  0                  32    0             NA                  HP        NAAG14  IO_L4N_T0_32                  0                  32    0             NA                  HP        NAAH17  IO_L5P_T0_32                  0                  32    0             NA                  HP        NAAJ17  IO_L5N_T0_32                  0                  32    0             NA                  HP        NAAE16  IO_L6P_T0_32                  0                  32    0             NA                  HP        NAAF16  IO_L6N_T0_VREF_32             0                  32    0             NA                  HP        NAAJ19  IO_L7P_T1_32                  1                  32    0             NA                  HP        NAAK19  IO_L7N_T1_32                  1                  32    0             NA                  HP        NAAG19  IO_L8P_T1_32                  1                  32    0             NA                  HP        NAAH19  IO_L8N_T1_32                  1                  32    0             NA                  HP        NAAJ18  IO_L9P_T1_DQS_32              1                  32    0             NA                  HP        NAAK18  IO_L9N_T1_DQS_32              1                  32    0             NA                  HP        NAAD19  IO_L10P_T1_32                 1                  32    0             NA                  HP        NAAE19  IO_L10N_T1_32                 1                  32    0             NA                  HP        NAAF18  IO_L11P_T1_SRCC_32            1                  32    0             NA                  HP        NAAG18  IO_L11N_T1_SRCC_32            1                  32    0             NA                  HP        NAAF17  IO_L12P_T1_MRCC_32            1                  32    0             NA                  HP        NAAG17  IO_L12N_T1_MRCC_32            1                  32    0             NA                  HP        NAAD18  IO_L13P_T2_MRCC_32            2                  32    0             NA                  HP        NAAE18  IO_L13N_T2_MRCC_32            2                  32    0             NA                  HP        NAAD17  IO_L14P_T2_SRCC_32            2                  32    0             NA                  HP        NAAD16  IO_L14N_T2_SRCC_32            2                  32    0             NA                  HP        NAY19   IO_L15P_T2_DQS_32             2                  32    0             NA                  HP        NAY18   IO_L15N_T2_DQS_32             2                  32    0             NA                  HP        NAAA18  IO_L16P_T2_32                 2                  32    0             NA                  HP        NAAB18  IO_L16N_T2_32                 2                  32    0             NA                  HP        NAAB19  IO_L17P_T2_32                 2                  32    0             NA                  HP        NAAC19  IO_L17N_T2_32                 2                  32    0             NA                  HP        NAAB17  IO_L18P_T2_32                 2                  32    0             NA                  HP        NAAC17  IO_L18N_T2_32                 2                  32    0             NA                  HP        NAAE15  IO_L19P_T3_32                 3                  32    0             NA                  HP        NAAE14  IO_L19N_T3_VREF_32            3                  32    0             NA                  HP        NAAA15  IO_L20P_T3_32                 3                  32    0             NA                  HP        NAAB15  IO_L20N_T3_32                 3                  32    0             NA                  HP        NAAC16  IO_L21P_T3_DQS_32             3                  32    0             NA                  HP        NAAC15  IO_L21N_T3_DQS_32             3                  32    0             NA                  HP        NAAC14  IO_L22P_T3_32                 3                  32    0             NA                  HP        NAAD14  IO_L22N_T3_32                 3                  32    0             NA                  HP        NAAA17  IO_L23P_T3_32                 3                  32    0             NA                  HP        NAAA16  IO_L23N_T3_32                 3                  32    0             NA                  HP        NAY16   IO_L24P_T3_32                 3                  32    0             NA                  HP        NAY15   IO_L24N_T3_32                 3                  32    0             NA                  HP        NAAB14  IO_25_VRP_32                  NA                 32    0             NA                  HP        NAY13   IO_0_VRN_33                   NA                 33    0             NA                  HP        NAAA12  IO_L1P_T0_33                  0                  33    0             NA                  HP        NAAB12  IO_L1N_T0_33                  0                  33    0             NA                  HP        NAAA8   IO_L2P_T0_33                  0                  33    0             NA                  HP        NAAB8   IO_L2N_T0_33                  0                  33    0             NA                  HP        NAAB9   IO_L3P_T0_DQS_33              0                  33    0             NA                  HP        NAAC9   IO_L3N_T0_DQS_33              0                  33    0             NA                  HP        NAY11   IO_L4P_T0_33                  0                  33    0             NA                  HP        NAY10   IO_L4N_T0_33                  0                  33    0             NA                  HP        NAAA11  IO_L5P_T0_33                  0                  33    0             NA                  HP        NAAA10  IO_L5N_T0_33                  0                  33    0             NA                  HP        NAAA13  IO_L6P_T0_33                  0                  33    0             NA                  HP        NAAB13  IO_L6N_T0_VREF_33             0                  33    0             NA                  HP        NAAB10  IO_L7P_T1_33                  1                  33    0             NA                  HP        NAAC10  IO_L7N_T1_33                  1                  33    0             NA                  HP        NAAD8   IO_L8P_T1_33                  1                  33    0             NA                  HP        NAAE8   IO_L8N_T1_33                  1                  33    0             NA                  HP        NAAC12  IO_L9P_T1_DQS_33              1                  33    0             NA                  HP        NAAC11  IO_L9N_T1_DQS_33              1                  33    0             NA                  HP        NAAD9   IO_L10P_T1_33                 1                  33    0             NA                  HP        NAAE9   IO_L10N_T1_33                 1                  33    0             NA                  HP        NAAE11  IO_L11P_T1_SRCC_33            1                  33    0             NA                  HP        NAAF11  IO_L11N_T1_SRCC_33            1                  33    0             NA                  HP        NAAD12  IO_L12P_T1_MRCC_33            1                  33    0             NA                  HP        NAAD11  IO_L12N_T1_MRCC_33            1                  33    0             NA                  HP        NAAG10  IO_L13P_T2_MRCC_33            2                  33    0             NA                  HP        NAAH10  IO_L13N_T2_MRCC_33            2                  33    0             NA                  HP        NAAE10  IO_L14P_T2_SRCC_33            2                  33    0             NA                  HP        NAAF10  IO_L14N_T2_SRCC_33            2                  33    0             NA                  HP        NAAJ9   IO_L15P_T2_DQS_33             2                  33    0             NA                  HP        NAAK9   IO_L15N_T2_DQS_33             2                  33    0             NA                  HP        NAAG9   IO_L16P_T2_33                 2                  33    0             NA                  HP        NAAH9   IO_L16N_T2_33                 2                  33    0             NA                  HP        NAAK11  IO_L17P_T2_33                 2                  33    0             NA                  HP        NAAK10  IO_L17N_T2_33                 2                  33    0             NA                  HP        NAAH11  IO_L18P_T2_33                 2                  33    0             NA                  HP        NAAJ11  IO_L18N_T2_33                 2                  33    0             NA                  HP        NAAE13  IO_L19P_T3_33                 3                  33    0             NA                  HP        NAAF13  IO_L19N_T3_VREF_33            3                  33    0             NA                  HP        NAAK14  IO_L20P_T3_33                 3                  33    0             NA                  HP        NAAK13  IO_L20N_T3_33                 3                  33    0             NA                  HP        NAAH14  IO_L21P_T3_DQS_33             3                  33    0             NA                  HP        NAAJ14  IO_L21N_T3_DQS_33             3                  33    0             NA                  HP        NAAJ13  IO_L22P_T3_33                 3                  33    0             NA                  HP        NAAJ12  IO_L22N_T3_33                 3                  33    0             NA                  HP        NAAF12  IO_L23P_T3_33                 3                  33    0             NA                  HP        NAAG12  IO_L23N_T3_33                 3                  33    0             NA                  HP        NAAG13  IO_L24P_T3_33                 3                  33    0             NA                  HP        NAAH12  IO_L24N_T3_33                 3                  33    0             NA                  HP        NAAD13  IO_25_VRP_33                  NA                 33    0             NA                  HP        NAAC6   IO_0_VRN_34                   NA                 34    0             NA                  HP        NAAD4   IO_L1P_T0_34                  0                  34    0             NA                  HP        NAAD3   IO_L1N_T0_34                  0                  34    0             NA                  HP        NAAC2   IO_L2P_T0_34                  0                  34    0             NA                  HP        NAAC1   IO_L2N_T0_34                  0                  34    0             NA                  HP        NAAD2   IO_L3P_T0_DQS_34              0                  34    0             NA                  HP        NAAD1   IO_L3N_T0_DQS_34              0                  34    0             NA                  HP        NAAC5   IO_L4P_T0_34                  0                  34    0             NA                  HP        NAAC4   IO_L4N_T0_34                  0                  34    0             NA                  HP        NAAD6   IO_L5P_T0_34                  0                  34    0             NA                  HP        NAAE6   IO_L5N_T0_34                  0                  34    0             NA                  HP        NAAC7   IO_L6P_T0_34                  0                  34    0             NA                  HP        NAAD7   IO_L6N_T0_VREF_34             0                  34    0             NA                  HP        NAAF3   IO_L7P_T1_34                  1                  34    0             NA                  HP        NAAF2   IO_L7N_T1_34                  1                  34    0             NA                  HP        NAAE1   IO_L8P_T1_34                  1                  34    0             NA                  HP        NAAF1   IO_L8N_T1_34                  1                  34    0             NA                  HP        NAAG4   IO_L9P_T1_DQS_34              1                  34    0             NA                  HP        NAAG3   IO_L9N_T1_DQS_34              1                  34    0             NA                  HP        NAAE4   IO_L10P_T1_34                 1                  34    0             NA                  HP        NAAE3   IO_L10N_T1_34                 1                  34    0             NA                  HP        NAAE5   IO_L11P_T1_SRCC_34            1                  34    0             NA                  HP        NAAF5   IO_L11N_T1_SRCC_34            1                  34    0             NA                  HP        NAAF6   IO_L12P_T1_MRCC_34            1                  34    0             NA                  HP        NAAG5   IO_L12N_T1_MRCC_34            1                  34    0             NA                  HP        NAAH4   IO_L13P_T2_MRCC_34            2                  34    0             NA                  HP        NAAJ4   IO_L13N_T2_MRCC_34            2                  34    0             NA                  HP        NAAH6   IO_L14P_T2_SRCC_34            2                  34    0             NA                  HP        NAAH5   IO_L14N_T2_SRCC_34            2                  34    0             NA                  HP        NAAG2   IO_L15P_T2_DQS_34             2                  34    0             NA                  HP        NAAH1   IO_L15N_T2_DQS_34             2                  34    0             NA                  HP        NAAH2   IO_L16P_T2_34                 2                  34    0             NA                  HP        NAAJ2   IO_L16N_T2_34                 2                  34    0             NA                  HP        NAAJ1   IO_L17P_T2_34                 2                  34    0             NA                  HP        NAAK1   IO_L17N_T2_34                 2                  34    0             NA                  HP        NAAJ3   IO_L18P_T2_34                 2                  34    0             NA                  HP        NAAK3   IO_L18N_T2_34                 2                  34    0             NA                  HP        NAAF8   IO_L19P_T3_34                 3                  34    0             NA                  HP        NAAG8   IO_L19N_T3_VREF_34            3                  34    0             NA                  HP        NAAF7   IO_L20P_T3_34                 3                  34    0             NA                  HP        NAAG7   IO_L20N_T3_34                 3                  34    0             NA                  HP        NAAH7   IO_L21P_T3_DQS_34             3                  34    0             NA                  HP        NAAJ7   IO_L21N_T3_DQS_34             3                  34    0             NA                  HP        NAAJ6   IO_L22P_T3_34                 3                  34    0             NA                  HP        NAAK6   IO_L22N_T3_34                 3                  34    0             NA                  HP        NAAJ8   IO_L23P_T3_34                 3                  34    0             NA                  HP        NAAK8   IO_L23N_T3_34                 3                  34    0             NA                  HP        NAAK5   IO_L24P_T3_34                 3                  34    0             NA                  HP        NAAK4   IO_L24N_T3_34                 3                  34    0             NA                  HP        NAAB7   IO_25_VRP_34                  NA                 34    0             NA                  HP        NA

UG471I/O Type的介绍

现在开始我们就可以编写测试程序了,工程创建过程参考前面的文章分享的LED工程。

如果每次操作一个IO然后确认一个IO那么效率太低,我们可以次操作多个IO,即一个IO间隔1mS反转一次,一个IO间隔2ms翻转一次, .....

那么示波器看到对应的波形就知道是哪个引脚,一次可以操作确认nIO

另外还有个思路就是,比如这里确认的G22H22PAD上相邻的一般引出到插座也是相邻的。

以下是一次测试两个引脚的例子,实际可以一次测试更多个引脚。

以下代码

out[1:0] <= timer[17:16];

第一个引脚2^16次个时钟翻转一次,50M时钟对应64*1024/50=1310uS翻转一次,第二个引脚则是2倍时间翻转一次2620uS

源文件如下

module led(    input sys_clk,         //system clock 50MHZ on board    output reg[1:0] out    //out); 
wire sys_clk;reg [31:0] timer;
//==============================//timer count//==============================always @(posedge sys_clk) begin timer <= timer + 1'b1; //timer counter = timer counter + 1 end
always @(posedge sys_clk) begin out[1:0] <= timer[17:16]; endendmodule

约束文件如下

set_property PACKAGE_PIN G22 [get_ports {out[1]}]set_property PACKAGE_PIN H22 [get_ports {out[0]}]set_property PACKAGE_PIN D27 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS18 [get_ports {out[1]}]set_property IOSTANDARD LVCMOS18 [get_ports {out[0]}]set_property IOSTANDARD LVCMOS18 [get_ports sys_clk]
create_clock -period 20.000 -name sys_clk -waveform {0.000 10.000} -add [get_ports sys_clk]

示波器测到J146号Pin波形如下,可知对应的正是G22H22,分别是1320uS2640uS翻转一次。

SPI FLASH引脚

板载了一个SPI FLASH作为启动,

UG470中可以看到配置模式及其引脚的介绍

可以看到SPI具体对应的是哪一个引脚

五. 总结

以上总结了淘到一块板子,如何去玩转的一般过程,一般就是先确认供电,JTAG仿真器连接,然后扫描引脚IO对应关系,最后就是根据所需自行设计扩展板,玩起来了。

以上IO扫描,一行代码就可以搞定,用计数器的bit01个单位翻转一次,bitn就是2^n次翻转一次,所以计数器的bitn直接输出到outn即可。

下一篇我们就分享,画一块简单的扩展版,用于我们移植RISC-V进行串口,仿真器链接。





















嵌入式Lee
嵌入式软硬件技术:RTOS,GUI,FS,协议栈,ARM,总线,嵌入式C,开发环境 and blablaba....多年经验分享,非硬货不发,带你扒开每一个技术背后的根本原理。
 最新文章